This project is in a trial period, be cautious while contributing. Setup can still change before being approved as a libre project.
Component Approved Translated Unfinished Unfinished words Unfinished characters Untranslated Checks Suggestions Comments
index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Data types/AFix CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Data types/bundle CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Data types/Floating CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Data types/index CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Design errors/assignment_overlap CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/clock_crossing_violation CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Design errors/combinatorial_loop CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/hierarchy_violation CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/iobundle CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Design errors/latch_detected CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/no_driver_on CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/nullpointerexception CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/out_of_range_constant CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/register_defined_as_component_input CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/scope_violation CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/spinal_cant_clone CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/unassigned_register CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/unreachable_is_statement CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/width_mismatch CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Developers area/howtodocument CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Developers area/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Developers area/mill support CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Developers area/spinalhdl_datamodel CC0-1.0 0 0 0 0 0 21 0
SpinalHDL/Examples/Advanced ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/pinesec CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/slots CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/timer CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/fractal CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/uart CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Examples/Intermediates ones/vga CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/apb3 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/carry_adder CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/color_summing CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/counter_with_clear CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/pll_resetctrl CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/rgb_to_gray CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Formal verification/index CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Getting Started/Cheatsheets/core CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/lib CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/symbolic CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Help for VHDL people/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/IntelliJ CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/SBT CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Getting Started/Scala Guide/coding_conventions CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Scala Guide/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/A simple example CC0-1.0 0 0 0 0 0 5 0
SpinalHDL/Introduction/Contributing CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/faq CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Introduction/Getting in touch CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/License CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Introduction/Other learning materials CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/SpinalHDL CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Legacy/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/pinsec/hardware CC0-1.0 0 0 0 0 0 8 0
SpinalHDL/Legacy/pinsec/hardware_toplevel CC0-1.0 0 0 0 0 0 10 0
SpinalHDL/Legacy/pinsec/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/pinsec/introduction CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Legacy/pinsec/software CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/riscv CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Libraries/Bus/amba3/ahblite3 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/amba4/axi4 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/avalon/avalonmm CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Libraries/Bus/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/bus_slave_factory CC0-1.0 0 0 0 0 0 3 0
SpinalHDL/Libraries/Bus/tilelink/tilelink CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/spiXdr CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/uart CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/usb_ohci CC0-1.0 0 0 0 0 0 5 0
SpinalHDL/Libraries/EDA/altera/qsysify CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/EDA/altera/quartus_flow CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/EDA/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/fiber CC0-1.0 0 0 0 0 0 9 0
SpinalHDL/Libraries/fragment CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Graphics/colors CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Graphics/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Graphics/vga CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Libraries/IO/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/IO/readableOpenDrain CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/IO/tristate CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Libraries/Misc/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Misc/PLIC/plic_mapper CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Pipeline/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/vexriscv CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/miscelenea/chisel CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/miscelenea/core/core_components CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/miscelenea/frequent_errors CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/miscelenea/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Other language features/assertion CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Other language features/index CC0-1.0 0 0 0 0 0 0 0

Overview

Project website spinalhdl.github.io/SpinalDoc-RTD
Instructions for translators

SpinalHDL的使用说明

Project maintainers User avatar Readon User avatar SpinalHDL-CN User avatar tsy0123
Translation license CC0-1.0 index SpinalHDL/Data types/AFix SpinalHDL/Data types/bundle SpinalHDL/Data types/Floating SpinalHDL/Data types/index SpinalHDL/Design errors/assignment_overlap SpinalHDL/Design errors/clock_crossing_violation SpinalHDL/Design errors/combinatorial_loop SpinalHDL/Design errors/hierarchy_violation SpinalHDL/Design errors/index SpinalHDL/Design errors/iobundle SpinalHDL/Design errors/latch_detected SpinalHDL/Design errors/no_driver_on SpinalHDL/Design errors/nullpointerexception SpinalHDL/Design errors/out_of_range_constant SpinalHDL/Design errors/register_defined_as_component_input SpinalHDL/Design errors/scope_violation SpinalHDL/Design errors/spinal_cant_clone SpinalHDL/Design errors/unassigned_register SpinalHDL/Design errors/unreachable_is_statement SpinalHDL/Design errors/width_mismatch SpinalHDL/Developers area/howtodocument SpinalHDL/Developers area/index SpinalHDL/Developers area/mill support SpinalHDL/Developers area/spinalhdl_datamodel SpinalHDL/Examples/Advanced ones/index SpinalHDL/Examples/Advanced ones/pinesec SpinalHDL/Examples/Advanced ones/slots SpinalHDL/Examples/Advanced ones/timer SpinalHDL/Examples/index SpinalHDL/Examples/Intermediates ones/fractal SpinalHDL/Examples/Intermediates ones/index SpinalHDL/Examples/Intermediates ones/uart SpinalHDL/Examples/Intermediates ones/vga SpinalHDL/Examples/Simple ones/apb3 SpinalHDL/Examples/Simple ones/carry_adder SpinalHDL/Examples/Simple ones/color_summing SpinalHDL/Examples/Simple ones/counter_with_clear SpinalHDL/Examples/Simple ones/index SpinalHDL/Examples/Simple ones/pll_resetctrl SpinalHDL/Examples/Simple ones/rgb_to_gray SpinalHDL/Formal verification/index SpinalHDL/Getting Started/Cheatsheets/core SpinalHDL/Getting Started/Cheatsheets/index SpinalHDL/Getting Started/Cheatsheets/lib SpinalHDL/Getting Started/Cheatsheets/symbolic SpinalHDL/Getting Started/Help for VHDL people/index SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp SpinalHDL/Getting Started/index SpinalHDL/Getting Started/IntelliJ SpinalHDL/Getting Started/SBT SpinalHDL/Getting Started/Scala Guide/coding_conventions SpinalHDL/Getting Started/Scala Guide/index SpinalHDL/Introduction/A simple example SpinalHDL/Introduction/Contributing SpinalHDL/Introduction/faq SpinalHDL/Introduction/Getting in touch SpinalHDL/Introduction/index SpinalHDL/Introduction/License SpinalHDL/Introduction/Other learning materials SpinalHDL/Introduction/SpinalHDL SpinalHDL/Legacy/index SpinalHDL/Legacy/pinsec/hardware SpinalHDL/Legacy/pinsec/hardware_toplevel SpinalHDL/Legacy/pinsec/index SpinalHDL/Legacy/pinsec/introduction SpinalHDL/Legacy/pinsec/software SpinalHDL/Legacy/riscv SpinalHDL/Libraries/Bus/amba3/ahblite3 SpinalHDL/Libraries/Bus/amba4/axi4 SpinalHDL/Libraries/Bus/avalon/avalonmm SpinalHDL/Libraries/Bus/index SpinalHDL/Libraries/bus_slave_factory SpinalHDL/Libraries/Bus/tilelink/tilelink SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric SpinalHDL/Libraries/Com/index SpinalHDL/Libraries/Com/spiXdr SpinalHDL/Libraries/Com/uart SpinalHDL/Libraries/Com/usb_ohci SpinalHDL/Libraries/EDA/altera/qsysify SpinalHDL/Libraries/EDA/altera/quartus_flow SpinalHDL/Libraries/EDA/index SpinalHDL/Libraries/fiber SpinalHDL/Libraries/fragment SpinalHDL/Libraries/Graphics/colors SpinalHDL/Libraries/Graphics/index SpinalHDL/Libraries/Graphics/vga SpinalHDL/Libraries/IO/index SpinalHDL/Libraries/IO/readableOpenDrain SpinalHDL/Libraries/IO/tristate SpinalHDL/Libraries/Misc/index SpinalHDL/Libraries/Misc/PLIC/plic_mapper SpinalHDL/Libraries/Pipeline/index SpinalHDL/Libraries/vexriscv SpinalHDL/miscelenea/chisel SpinalHDL/miscelenea/core/core_components SpinalHDL/miscelenea/frequent_errors SpinalHDL/miscelenea/index SpinalHDL/Other language features/assertion SpinalHDL/Other language features/index
2 hours ago

String statistics

Strings percent Hosted strings Words percent Hosted words Characters percent Hosted characters
Total 11,308 99,354 640,046
Source 5,654 49,677 320,023
Approved 49% 5,616 49% 49,627 49% 319,668
Waiting for review 1% 60 1% 79 1% 576
Translated 100% 11,308 100% 99,354 100% 640,046
Needs editing 0% 0 0% 0 0% 0
Read-only 49% 5,632 49% 49,648 49% 319,802
Failing checks 2% 259 1% 1,522 1% 11,587
Strings with suggestions 2% 278 4% 4,633 4% 29,595
Untranslated strings 0% 0 0% 0 0% 0

Quick numbers

99,354
Hosted words
11,308
Hosted strings
100%
Translated
and previous 30 days

Trends of last 30 days

+1%
Hosted words
+100%
+1%
Hosted strings
+100%
Translated
+100%
+33%
Contributors
+100%
Language Approved Translated Unfinished Unfinished words Unfinished characters Untranslated Checks Suggestions Comments
English 99% 0 0 0 0 46 0 0
Chinese (Simplified) 99% 0 0 0 0 213 278 0
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master 5 days ago
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master 5 days ago
User avatar None

Changes pushed

Changes pushed 5 days ago
User avatar None

Changes committed

Changes committed 5 days ago
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master 6 days ago
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master 6 days ago
User avatar None

Changes pushed

Changes pushed 6 days ago
User avatar Readon

Translation completed

Translation completed 6 days ago
User avatar Readon

Translation approved

6 days ago
User avatar None

Changes committed

Changes committed 6 days ago
Browse all project changes