Component Approved Translated Unfinished Unfinished words Unfinished characters Untranslated Checks Suggestions Comments
index CC0-1.0 0 0 0 0 0 0 0
Glossary SpinalDoc-RTD CC0-1.0 5% 0 0 0 0 0 0 0
SpinalHDL/Data types/AFix CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Data types/bits CC0-1.0 0 0 0 0 13 28 0
SpinalHDL/Data types/bool CC0-1.0 0 0 0 0 8 6 0
SpinalHDL/Data types/bundle CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Data types/enum CC0-1.0 0 0 0 0 5 1 0
SpinalHDL/Data types/Fix CC0-1.0 0 0 0 0 6 1 0
SpinalHDL/Data types/Floating CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Data types/index CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Data types/Int CC0-1.0 0 0 0 0 19 22 0
SpinalHDL/Data types/Vec CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Design errors/assignment_overlap CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/clock_crossing_violation CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Design errors/combinatorial_loop CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/hierarchy_violation CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/iobundle CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Design errors/latch_detected CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/no_driver_on CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/nullpointerexception CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/out_of_range_constant CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/register_defined_as_component_input CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/scope_violation CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/spinal_cant_clone CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/unassigned_register CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/unreachable_is_statement CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/width_mismatch CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Developers area/bus_slave_factory_impl CC0-1.0 0 0 0 0 3 3 0
SpinalHDL/Developers area/howtodocument CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Developers area/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Developers area/mill support CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Developers area/spinalhdl_datamodel CC0-1.0 0 0 0 0 0 21 0
SpinalHDL/Developers area/types CC0-1.0 0 0 0 0 8 19 0
SpinalHDL/Examples/Advanced ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/jtag CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/memory_mapped_uart CC0-1.0 0 0 0 0 3 0 0
SpinalHDL/Examples/Advanced ones/pinesec CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/slots CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/timer CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/fractal CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/uart CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Examples/Intermediates ones/vga CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/apb3 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/carry_adder CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/color_summing CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/counter_with_clear CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/pll_resetctrl CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/rgb_to_gray CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/sinus_rom CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Foreword/index CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Formal verification/index CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Getting Started/Cheatsheets/core CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/lib CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/symbolic CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Help for VHDL people/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective CC0-1.0 0 0 0 0 4 0 0
SpinalHDL/Getting Started/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Install and setup CC0-1.0 0 0 0 0 2 7 0
SpinalHDL/Getting Started/IntelliJ CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/SBT CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Getting Started/Scala Guide/basics CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Getting Started/Scala Guide/coding_conventions CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Scala Guide/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Scala Guide/interaction CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Getting Started/VSCodium CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Introduction/A simple example CC0-1.0 0 0 0 0 0 5 0
SpinalHDL/Introduction/Contributing CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/faq CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Introduction/Getting in touch CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/License CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Introduction/Other learning materials CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/Projects using SpinalHDL CC0-1.0 0 0 0 0 3 1 0
SpinalHDL/Introduction/SpinalHDL CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Legacy/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/pinsec/hardware CC0-1.0 0 0 0 0 0 8 0
SpinalHDL/Legacy/pinsec/hardware_toplevel CC0-1.0 0 0 0 0 0 10 0
SpinalHDL/Legacy/pinsec/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/pinsec/introduction CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Legacy/pinsec/software CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/riscv CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Libraries/binarySystem CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Libraries/Bus/amba3/ahblite3 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/amba3/apb3 CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Libraries/Bus/amba4/axi4 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/avalon/avalonmm CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Libraries/Bus/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/tilelink/tilelink CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/bus_slave_factory CC0-1.0 0 0 0 0 0 3 0
SpinalHDL/Libraries/Com/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/spiXdr CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/uart CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/usb_device CC0-1.0 0 0 0 0 0 18 0
SpinalHDL/Libraries/Com/usb_ohci CC0-1.0 0 0 0 0 0 5 0
SpinalHDL/Libraries/EDA/altera/qsysify CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/EDA/altera/quartus_flow CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/EDA/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/fiber CC0-1.0 0 0 0 0 0 9 0
SpinalHDL/Libraries/flow CC0-1.0 0 0 0 0 2 2 0
SpinalHDL/Libraries/fragment CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/fsm CC0-1.0 0 0 0 0 1 3 0
SpinalHDL/Libraries/Graphics/colors CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Graphics/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Graphics/vga CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Libraries/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/IO/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/IO/readableOpenDrain CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/IO/tristate CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Libraries/Misc/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Misc/PLIC/plic_mapper CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Misc/service_plugin CC0-1.0 0 0 0 0 5 0 0
SpinalHDL/Libraries/Pipeline/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Pipeline/introduction CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/regIf CC0-1.0 0 0 0 0 0 12 0
SpinalHDL/Libraries/stream CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Libraries/utils CC0-1.0 0 0 0 0 8 5 0
SpinalHDL/Libraries/vexriscv CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/miscelenea/chisel CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/miscelenea/core/core_components CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/miscelenea/core/elements CC0-1.0 0 0 0 0 5 0 0
SpinalHDL/miscelenea/frequent_errors CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/miscelenea/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Other language features/analog_inout CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Other language features/assertion CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Other language features/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Other language features/report CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Other language features/scope_property CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Other language features/stub CC0-1.0 0 0 0 0 0 6 0
SpinalHDL/Other language features/utils CC0-1.0 0 0 0 0 1 4 0
SpinalHDL/Other language features/vhdl_generation CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Semantic/assignments CC0-1.0 0 0 0 0 2 6 0
SpinalHDL/Semantic/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Semantic/rules CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Semantic/when_switch CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Sequential logic/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Sequential logic/memory CC0-1.0 0 0 0 0 20 3 0
SpinalHDL/Sequential logic/registers CC0-1.0 0 0 0 0 3 1 0
SpinalHDL/Simulation/bootstraps CC0-1.0 0 0 0 0 9 0 0
SpinalHDL/Simulation/clock CC0-1.0 0 0 0 0 26 2 0
SpinalHDL/Simulation/engine CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/asynchronous CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/dual_clock_fifo CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/single_clock_fifo CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/synchronous CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/uart_decoder CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/uart_encoder CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/index CC0-1.0 0 0 0 0 1 2 0
SpinalHDL/Simulation/install/GHDL CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/install/Icarus Verilog CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/install/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/install/VCS CC0-1.0 0 0 0 0 6 1 0
SpinalHDL/Simulation/install/Verilator CC0-1.0 0 0 0 0 1 1 0
SpinalHDL/Simulation/sensitive CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Simulation/signal CC0-1.0 0 0 0 0 10 0 0
SpinalHDL/Simulation/simulator_specifics CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/threadFull CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/threadLess CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Structuring/area CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Structuring/blackbox CC0-1.0 0 0 0 0 4 1 0
SpinalHDL/Structuring/clock_domain CC0-1.0 0 0 0 0 24 3 0
SpinalHDL/Structuring/components_hierarchy CC0-1.0 0 0 0 0 2 7 0
SpinalHDL/Structuring/function CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Structuring/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Structuring/naming CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Structuring/parametrization CC0-1.0 0 0 0 0 0 4 0

Overview

Project website spinalhdl.github.io/SpinalDoc-RTD
Instructions for translators

SpinalHDL的使用说明

Project maintainers User avatar Readon User avatar SpinalHDL-CN User avatar tsy0123
Translation license CC0-1.0 SpinalHDL/Libraries/bus_slave_factory SpinalHDL/Developers area/bus_slave_factory_impl SpinalHDL/Getting Started/SBT SpinalHDL/Introduction/A simple example SpinalHDL/Introduction/faq SpinalHDL/Introduction/License SpinalHDL/Simulation/signal SpinalHDL/Simulation/clock SpinalHDL/Simulation/engine SpinalHDL/Simulation/threadLess SpinalHDL/Simulation/threadFull SpinalHDL/Simulation/sensitive SpinalHDL/Simulation/examples/uart_encoder SpinalHDL/Simulation/install/Icarus Verilog SpinalHDL/Simulation/install/GHDL SpinalHDL/Simulation/examples/asynchronous SpinalHDL/Simulation/install/index SpinalHDL/Simulation/examples/synchronous SpinalHDL/Simulation/install/Verilator SpinalHDL/Simulation/examples/uart_decoder SpinalHDL/Simulation/examples/single_clock_fifo SpinalHDL/Libraries/flow SpinalHDL/Examples/Simple ones/apb3 SpinalHDL/Data types/Int SpinalHDL/Data types/bundle SpinalHDL/Examples/Simple ones/color_summing SpinalHDL/Formal verification/index SpinalHDL/Design errors/nullpointerexception SpinalHDL/Design errors/scope_violation SpinalHDL/Examples/Advanced ones/index SpinalHDL/Getting Started/VSCodium SpinalHDL/Examples/Simple ones/sinus_rom SpinalHDL/Getting Started/Scala Guide/basics SpinalHDL/Libraries/Bus/tilelink/tilelink SpinalHDL/Libraries/EDA/altera/qsysify SpinalHDL/Getting Started/Cheatsheets/symbolic SpinalHDL/Libraries/Bus/index SpinalHDL/Getting Started/index SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective SpinalHDL/Getting Started/Scala Guide/coding_conventions SpinalHDL/Design errors/unassigned_register SpinalHDL/Design errors/assignment_overlap SpinalHDL/Design errors/unreachable_is_statement SpinalHDL/Other language features/index SpinalHDL/Libraries/EDA/altera/quartus_flow SpinalHDL/Other language features/assertion SpinalHDL/Examples/Advanced ones/pinesec SpinalHDL/Developers area/index SpinalHDL/Developers area/spinalhdl_datamodel SpinalHDL/Simulation/examples/dual_clock_fifo SpinalHDL/Developers area/types SpinalHDL/Developers area/mill support SpinalHDL/Getting Started/Cheatsheets/index SpinalHDL/Getting Started/Cheatsheets/core SpinalHDL/Legacy/index SpinalHDL/miscelenea/frequent_errors SpinalHDL/miscelenea/index SpinalHDL/Semantic/rules SpinalHDL/Design errors/hierarchy_violation SpinalHDL/Libraries/vexriscv SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp SpinalHDL/Libraries/IO/readableOpenDrain SpinalHDL/Libraries/Graphics/vga SpinalHDL/Foreword/index SpinalHDL/Libraries/Com/index SpinalHDL/Libraries/IO/tristate SpinalHDL/Legacy/pinsec/software SpinalHDL/Libraries/regIf SpinalHDL/Sequential logic/registers SpinalHDL/Other language features/analog_inout SpinalHDL/Other language features/vhdl_generation SpinalHDL/Libraries/binarySystem SpinalHDL/Libraries/Bus/amba3/ahblite3 index SpinalHDL/Semantic/assignments SpinalHDL/Simulation/bootstraps SpinalHDL/Simulation/index SpinalHDL/Libraries/stream SpinalHDL/Data types/bool SpinalHDL/Getting Started/IntelliJ SpinalHDL/Legacy/riscv SpinalDoc-RTD SpinalHDL/Structuring/area SpinalHDL/miscelenea/core/core_components SpinalHDL/Examples/Intermediates ones/uart SpinalHDL/Structuring/blackbox SpinalHDL/Data types/Vec SpinalHDL/Examples/index SpinalHDL/Semantic/when_switch SpinalHDL/Other language features/utils SpinalHDL/Libraries/Com/uart SpinalHDL/Developers area/howtodocument SpinalHDL/Examples/Intermediates ones/index SpinalHDL/Structuring/components_hierarchy SpinalHDL/Structuring/function SpinalHDL/Examples/Simple ones/rgb_to_gray SpinalHDL/Getting Started/Cheatsheets/lib SpinalHDL/Getting Started/Help for VHDL people/index SpinalHDL/Structuring/parametrization SpinalHDL/Getting Started/Scala Guide/interaction SpinalHDL/Introduction/Projects using SpinalHDL SpinalHDL/Introduction/index SpinalHDL/Legacy/pinsec/index SpinalHDL/Libraries/fiber SpinalHDL/Libraries/index SpinalHDL/Introduction/Contributing SpinalHDL/Design errors/register_defined_as_component_input SpinalHDL/Introduction/SpinalHDL SpinalHDL/Libraries/Misc/index SpinalHDL/miscelenea/chisel SpinalHDL/Design errors/no_driver_on SpinalHDL/Other language features/scope_property SpinalHDL/Other language features/stub SpinalHDL/Semantic/index SpinalHDL/Sequential logic/index SpinalHDL/Simulation/examples/index SpinalHDL/Structuring/index SpinalHDL/Sequential logic/memory SpinalHDL/Examples/Simple ones/carry_adder SpinalHDL/Examples/Simple ones/index SpinalHDL/Examples/Simple ones/counter_with_clear SpinalHDL/Examples/Advanced ones/jtag SpinalHDL/Libraries/utils SpinalHDL/Examples/Simple ones/pll_resetctrl SpinalHDL/Examples/Intermediates ones/fractal SpinalHDL/Design errors/index SpinalHDL/Data types/enum SpinalHDL/Data types/Fix SpinalHDL/Design errors/width_mismatch SpinalHDL/miscelenea/core/elements SpinalHDL/Design errors/clock_crossing_violation SpinalHDL/Libraries/Bus/avalon/avalonmm SpinalHDL/Libraries/Bus/amba4/axi4 SpinalHDL/Libraries/Com/usb_device SpinalHDL/Simulation/simulator_specifics SpinalHDL/Getting Started/Install and setup SpinalHDL/Introduction/Other learning materials SpinalHDL/Examples/Intermediates ones/vga SpinalHDL/Examples/Advanced ones/timer SpinalHDL/Examples/Advanced ones/memory_mapped_uart SpinalHDL/Data types/AFix SpinalHDL/Libraries/Com/spiXdr SpinalHDL/Libraries/Pipeline/index SpinalHDL/Libraries/Misc/service_plugin SpinalHDL/Structuring/clock_domain SpinalHDL/Libraries/Pipeline/introduction SpinalHDL/Structuring/naming SpinalHDL/Introduction/Getting in touch SpinalHDL/Data types/bits SpinalHDL/Examples/Advanced ones/slots SpinalHDL/Libraries/fsm SpinalHDL/Getting Started/Scala Guide/index SpinalHDL/Legacy/pinsec/hardware SpinalHDL/Legacy/pinsec/introduction SpinalHDL/Legacy/pinsec/hardware_toplevel SpinalHDL/Data types/Floating SpinalHDL/Design errors/latch_detected SpinalHDL/Other language features/report SpinalHDL/Design errors/spinal_cant_clone SpinalHDL/Data types/index SpinalHDL/Simulation/install/VCS SpinalHDL/Libraries/EDA/index SpinalHDL/Design errors/combinatorial_loop SpinalHDL/Libraries/Graphics/index SpinalHDL/Design errors/iobundle SpinalHDL/Design errors/out_of_range_constant SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric SpinalHDL/Libraries/Graphics/colors SpinalHDL/Libraries/IO/index SpinalHDL/Libraries/Com/usb_ohci SpinalHDL/Libraries/Bus/amba3/apb3 SpinalHDL/Libraries/Misc/PLIC/plic_mapper SpinalHDL/Libraries/fragment
2 hours ago

String statistics

Strings percent Hosted strings Words percent Hosted words Characters percent Hosted characters
Total 5,654 49,677 320,023
Approved 99% 5,616 99% 49,627 99% 319,668
Waiting for review 1% 38 1% 50 1% 355
Translated 100% 5,654 100% 49,677 100% 320,023
Needs editing 0% 0 0% 0 0% 0
Read-only 0% 0 0% 0 0% 0
Failing checks 3% 213 1% 476 1% 4,782
Strings with suggestions 4% 278 9% 4,633 9% 29,595
Untranslated strings 0% 0 0% 0 0% 0

Quick numbers

49,677
Hosted words
5,654
Hosted strings
100%
Translated
and previous 30 days

Trends of last 30 days

+1%
Hosted words
+100%
+1%
Hosted strings
+100%
Translated
+100%
+33%
Contributors
+100%
User avatar None

Changes committed

Changes committed 2 days ago
User avatar Readon

Translation completed

Translation completed 3 days ago
User avatar Readon

Translation approved

3 days ago
User avatar None

Changes committed

Changes committed 3 days ago
User avatar xydarcher

Marked for edit

3 days ago
User avatar xydarcher

Contributor joined

Contributor joined 3 days ago
User avatar None

Resource updated

The “source/locale/zh_CN/LC_MESSAGES/SpinalHDL/Data types/bits.po” file was changed. 3 days ago
User avatar None

String added in the repository

3 days ago
User avatar Readon

Changes committed

Changes committed 2 weeks ago
User avatar Readon

Translation approved

2 weeks ago
Browse all changes for this language